Порядок выполнения лабораторной работы с использованием пакета Multisim

1.2.1 Запустите пакет программ Multisim. В меню Options нажмите Preferences для настройки Вашего рабочего места. В свойстве Color можно выбрать подходящие для Вас цвета (рис. 1.3), а в закладке Component Bin в стандарте символов установите DIN(переход к западно-европейскому стандарту обозначения логических элементов). Нажмите ОК. (рис. 1.4).

 

Рис. 1.3 Выбор цветовой схемы Рис. 1.4 Выбор стандарта для

отображения элементов.

 

 

1.2.2 По известным логическим выражениям (см. табл. 1.4) получите комбинационные схемы в рабочем поле Multisim. Логические элементы находятся в библиотеке TTL, серия микросхем – 74хх (см. рис. 1.5).

1.2.3 Получите таблицу истинности для каждой из комбинаци­онных схем, пример показан на рис. 1.6 для логического И (конъюнкция). При этом использовать инструмент Logic Converter в пакете Multisim.

 

Рис. 1.5 Перечень микросхем серии 74 библиотеке TTL пакета Multisim

 

Рис. 1.6 Пример получения таблицы истинности и КС с помощью инструмента Logic Converter

1.2.4 Зарисуйте полученные таблицы истинности для каждой исследуемой комбинационной схемы.

1.2.5 Соберите схему для получения временных характеристик комбинационных логических схем, общий вид которой показан на рис. 1.7. В качестве блока логического элемента используется иссле­дуемая комбинационная схема. Для исследования комбинационных схем вам понадобится Генератор слов , где вы задаете свою кодовую последовательность (таблица 1.1) и Логический анализатор , с помощью которого вы будете снимать как входные, так и выходные диаграммы (см. рис. 1.7).

Список комбинационных схем для ис­следования задан в таблице 1.2.

 

Рис. 1.7 Пример получения диаграмм ком­бинационных логических схем

1.2.6 Откройте панель генератора слов и установите кодовые последова­тельности для подачи на входы комбинационных схем в соот­ветствии с вариантом из таблицы 1.1, а также выставьте частоту генерации сигнала 1 кГц. Соедините “ножки” генератора слов с входными “ножками” исследуемой комбинационной схемы.

1.2.7 Откройте панель логического анализатора. Установите частоту приема сигнала 16 кГц. Включите моделирование схемы (кнопка включения/выключения схемы ) и пронаблюдайте временные диаграммы с входов и выхода комбинационных схем на экране логического анализатора.

1.2.8 Зарисуйте полученные временные диаграммы.

 

 

1.3 Порядок выполнения работы с использованием пакета MAX+PLUS

САПР MAX+PLUS II позволяет провести полный цикл проектирования - моделирования (симулирования) от описания задания до создания программной модели цифрового устройства в выбранном типе микросхем.

САПР MAX+PLUS II является системой автоматического проектирования устройств на ПЛИС (Программируемые Логические Интегральные Схемы). Система MAX+PLUS II предлагает полный спектр возможностей логического дизайна: разнообразные средства описания проектов с иерархической структурой, мощный логический синтез, компиляцию с заданными временными параметрами, разделение на части, функциональное и временное тестирование (симуляцию), тестирование нескольких связанных устройств, анализ временных параметров системы, автоматическую локализацию ошибок, а также программирование и верификацию устройств. В системе MAX+PLUS II можно как читать, так и записывать файлы на языке AHDL и файлы трассировки в формате EDIF, файлы на языках описания аппаратуры Verilog HDL и VHDL, а также схемные файлы Multisim.

Главным отличием MAX+PLUS II от Multisim является то, что система MAX+PLUS II создавалась фирмой Altera для программирования микросхем собственного производства, но в ней также можно осуществлять моделирование схем. Также существует возможность создавать проекты на широко используемых в настоящее время языках описания аппаратуры AHDL, VHDL. Язык AHDL разработан фирмой Altera и предназначен для описания комбинационных и последовательностных логических устройств, групповых операций, цифровых автоматов и таблиц истинности. Дело в том, что в Multisim-е мы создаем схему, размещаемую впоследствии на печатной плате. Обычно этот пакет используют профессионалы, для создания больших устройств, со сложной структурой. (Например, платы контроллера шины PCI и т.д.). А при помощи пакета MAX+PLUS II мы создаем как бы псевдоплату, которая примет абсолютно другой вид, когда устройство программирования микросхем загрузит ее в ПЛИС, следовательно, не имеет особого значения каким образом мы создадим плату: соединяя простейшие логические элементы или при помощи простого языка описания аппаратуры (AHDL). Кстати именно на языке описания аппаратуры довольно громоздкая схема принимает простой и элегантный вид.

Мы рассмотрим работу над проектом в среде системы MAX+PLUS II фирмы ALTERA. В дальнейшем изложении мы будем ориентироваться преимущественно на свободно распространяемую через Internet версию MAX+PLUS II Baseline.

Название системы MAX+PLUS II является аббревиатурой от Multiple Array MatriX Programmable Logic User System. Система MAX+PLUS II имеет средства удобного ввода проекта, компиляции и отладки, а также непосредственного программирования устройств.

Перед тем как начать работать в системе MAX+PLUS II, следует понять разницу между файлами проекта, вспомогательными файлами и проектами.

Файл проекта это графический, текстовый или сигнальный файл, созданный с помощью графического или сигнального редакторов системы MAX+PLUS II или в любом другом, использующем промышленные стандарты, схемном или текстовом редакторе либо при помощи программы netlist writer, имеющейся в пакетах, поддерживающих EDIF, VHDL и Verilog HDL. Этот файл содержит логику для проекта MAX+PLUS II и компилируется компилятором. Компилятор может автоматически обрабатывать следующие файлы проекта: графические файлы проекта (.gdf); текстовые файлы проекта на языке AHDL (.tdf); сигнальные файлы проекта (.wdf); файлы проекта на языке VHDL (.vhd); файлы проекта на языке Verilog (.v); схемные файлы OrCAD (.sch); входные файлы EDIF (edf); файлы формата Xilinx Netlist (.xnf); файлы проекта Altera (.adf); файлы цифрового автомата (.smf).

Вспомогательные файлы это файлы, связанные с проектом MAX+PLUS II, но не являющиеся частью его иерархического дерева. Большинство таких файлов не содержит логики проекта. Некоторые из них создаются автоматически приложением системы MAX+PLUS II, другие пользователем. Примерами вспомогательных файлов являются файлы назначений и конфигурации (.acf), символьные файлы (.sym), файлы отчета (.rpt) и файлы тестовых векторов (.vec).

Проект состоит из всех файлов иерархической структуры проекта, в том числе вспомогательных и выходных файлов. Именем проекта является имя файла проекта верхнего уровня без расширения. Система MAX+PLUS II выполняет компиляцию, тестирование, анализ синхронизации и программирование сразу целого проекта, хотя пользователь может в это время редактировать файлы этого проекта в рамках другого проекта. Для каждого проекта желательно создавать отдельный подкаталог в рабочем каталоге системы MAX+PLUS II.

В системе MAX+PLUS II легко доступны все инструменты для создания проекта. Разработка проекта ускоряется за счёт имеющихся стандартных функций, в том числе примитивов, мегафункций, библиотеки параметризованных модулей (LPM) и макрофункций устаревшего типа микросхем 74 серии. В системе MAX+PLUS II есть три редактора для разработки проекта: графический, текстовый и сигнальный, а также два вспомогательных редактора: поуровневый планировщик и символьный редактор.

Краткий алгоритм разработки проекта можно представить следующим образом: Сначала создается новый файл одного из четырех типов (графический, символьный, текстовый, сигнальный), затем задается имя создаваемого проекта (для каждого проекта необходимо создать новую директорию), далее назначается семейство ПЛИС для создаваемого проекта. Потом размещаются и соединяются между собой элементы схемы, входные и выходные сигналы. Затем в сигнальном редакторе задаются входные сигналы и схема запускается на компиляцию и моделирования. Затем возможно просмотреть размещение схемы на кристалле микросхемы и проанализировать задержки между входами выходами.