Счетчики

Счетчики делятся на:

1) параллельные,

2) последовательные:

а – с последовательным переносом,

б – с параллельным переносом.

Реализация D-триггера со сбросом и установкой на языке VHDL:

process (c)

begin

if (c = ‘1’ and c’event) then

if (R = ‘1’) then

Q <= ‘0’;

else if (S = ‘1’) then

Q <= ‘1’;

else Q <= D;

end if;

end if;

end if;

end process;

 

Последовательный счетчик с последовательным переносом строится на основе каскадирования T-триггеров.

Недостатки:

1) на вход синхронизации T-триггеров поступают сигналы не с кварцевого генератора, а с выхода логического элемента, что недопустимо;

2) схему сложно перестроить для реализации двоично-кодированного счетчика.